aboutsummaryrefslogtreecommitdiff
path: root/mixed_hdl/top.v
diff options
context:
space:
mode:
Diffstat (limited to 'mixed_hdl/top.v')
-rw-r--r--mixed_hdl/top.v26
1 files changed, 26 insertions, 0 deletions
diff --git a/mixed_hdl/top.v b/mixed_hdl/top.v
new file mode 100644
index 0000000..ce9083b
--- /dev/null
+++ b/mixed_hdl/top.v
@@ -0,0 +1,26 @@
+module top(count, out_data, dr, en, rst, clk);
+
+ parameter WIDTH = 8;
+
+ output [WIDTH-1: 0] count;
+ output [WIDTH-1: 0] out_data;
+ output dr;
+ input en, clk, rst;
+
+ wire [WIDTH-1: 0] counter;
+ wire counter_to_filter;
+
+ assign count = counter;
+ counter #(WIDTH) counter_1 (counter,
+ counter_to_filter,
+ en,
+ rst,
+ clk);
+ averaging_filter_cfg filter_1(counter,
+ counter_to_filter,
+ rst,
+ clk,
+ out_data,
+ dr);
+
+endmodule // top