/mixed_hdl/