From d7a11e0e8584e088921c9486c4f064eaf5e004f7 Mon Sep 17 00:00:00 2001 From: Brian Woods Date: Fri, 24 Feb 2023 12:17:46 -0500 Subject: counter: simple simulation of a counter --- counter/counter.vhd | 36 ++++++++++++++++++++++++++++++++++++ 1 file changed, 36 insertions(+) create mode 100644 counter/counter.vhd (limited to 'counter/counter.vhd') diff --git a/counter/counter.vhd b/counter/counter.vhd new file mode 100644 index 0000000..d4a941b --- /dev/null +++ b/counter/counter.vhd @@ -0,0 +1,36 @@ +-- SPDX-FileCopyrightText: 2023 Brian Woods +-- SPDX-License-Identifier: GPL-2.0-or-later + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity counter is + port( + set: in std_logic; + set_val: in unsigned(7 downto 0); + cnt: out unsigned(7 downto 0); + en: in std_logic; + clr: in std_logic; + clk: in std_logic + ); +end entity; + +architecture func of counter is + signal counter: unsigned(7 downto 0); +begin + cnt <= counter; + + process (clk, clr) + begin + if (clr='1') then + counter <= "00000000"; + elsif (clk='1' and clk'event) then + if (set='1') then + counter <= set_val; + elsif (en='1') then + counter <= counter + 1; + end if; + end if; + end process; +end func; -- cgit v1.2.3